วงจรนับ

จากวิกิพีเดีย สารานุกรมเสรี

วงจรนับ คือ เกิดจากการนำเอาฟลิปฟล็อปที่ต่อกันเป็นวงจรมาใช้งาน นับจำนวนคล๊อก (Clock) หรือพัลซ์ (Pulse) ที่ป้อนเข้าทางอินพุต หรือบางที่อาจเรียกว่าวงจรหารความถี่ หลักการทำงาน ของวงจรส่วนใหญ่จะเป็นวงจรการนับแบบเลขฐานสอง วงจรนับสามารถแบ่งออกเป็น 2 แบบใหญ่ๆ คือ

  1. วงจรการนับแบบไม่เข้าจังหวะ (Asynchronous Counter หรือ Ripple Counter)
  2. วงจรการนับแบบเข้าจังหวะ (Synchronous Counter หรือ Parallel Counter)

วงจรการนับแบบไม่เข้าจังหวะ[แก้]

โดยพื้นฐานจะใช้ J-K Flip Flop มาต่อเรียงกันดังวงจรในรูปข้างล่าง สถานะเอาต์พุตของ ฟลิปฟลอปแต่ละตัว ขึ้นอยู่กับสถานะเอาต์พุตของฟลิปฟลอปตัวก่อนหน้า คือ ฟลิปฟลอปตัวแรกจะส่งสัญญาณ (Pulse ) จาก Q ไปกระตุ้น (Trigger) ที่ Clk ของฟลิปฟลอปตัวที่สอง และฟลิปฟลอปตัวที่สองจะส่งสัญญาณไปกระตุ้น (Trigger) ที่ Clk ของฟลิปฟลอปตัวที่สาม ไปเรื่อย ๆ ตามขั้นตอนการทำงานของวงจรแบบนี้มีลักษณะไหลเป็นระลอก จึงทำให้มีชื่ออีกอย่างหนึ่งว่า วงจรนับแบบริบเปิล (Ripple Counter) วงจรนับแบบไม่เข้าจังหวะ (Asynchronous Counter) ที่ได้ศึกษามาแล้วนั้นใช้ได้ดีกับความถี่ต่ำๆ เนื่องจากปัญหาความล่าช้าของสัญญาณเอาต์พุตหรือเกิดเวลาหน่วงในการส่งข้อมูลจากอินพุตไปยังเอาต์พุต ของฟลิปฟลอปแต่ละตัว


วงจรการนับแบบเข้าจังหวะ[แก้]

คือการทำงานของวงจรนับที่ความถี่สูงๆ จำเป็นที่จะต้องให้ฟลิปฟลอปหลายๆตัวต่อกัน ได้รับสัญญาณกระตุ้นไปพร้อมๆ กัน เพื่อหลีกเลี่ยงปัญหาที่เกิดจากการหน่วงเวลาของฟลิปฟลอป เป็นวงจรนับที่เอาต์พุตของฟลิบฟลอป ที่เปลี่ยนแปลงพร้อมๆกันตามสัญญาณคล๊อก(Clock) วงจรนับชนิดนี้เรียกว่า วงจรนับแบบเข้าจังหวะ (Synchronous Counter)



การออกแบบวงจรนับแบบเข้าจังหวะ[แก้]

การออกแบบวงจรที่สามารถนับรูปแบบใดก็ได้นั้น ไม่จำเป็นต้องเป็นการนับแบบไบนารี่ เท่านั้นโดยสามารถใช้ฟลิปฟลอปชนิดใดก็ได้ มีวิธีดังนี้

  1. เขียนแผนภาพสถานะ (State Diagram)
  2. เขียนตารางสถานะ(Next- Stase Table)
  3. เลือกฟลิปฟลอปที่จะใช้งานและเขียนตารางการเปลี่ยนแปลง
  4. เขียนแผนผังคาร์นอจท์
  5. เขียนสมการลอจิกทางอินพุตของฟลิปฟลอบ

Modulus Counter (MOD-Number Counter)[แก้]

การนับตามค่าที่กำหนด หมายถึง การสร้างวงจรนับให้สามารถกำหนดค่านับได้ เช่น ให้นับตั้งแต่ 0 - 9 แล้วเวียนกลับมาเริ่มต้น ที่ 0 ใหม่อีก หรืออาจจะกำหนดให้นับถึงเลขใดเลขหนึ่งแล้วเวียนกลับมาเริ่มต้น ใหม่ วงจรนับแบบนี้ เมื่อนับถึงค่าที่กำหนดวงจรจะ Reset ตัวเองเริ่มต้นใหม่ ค่าสูงสุดที่กำหนดให้นับเรียกว่า มอด (MOD number) ดังนั้นจึงเรียกวงจรนับเหล่านี้ว่า MOD-6, MOD-8, MOD-15 เป็นต้น หมายถึง วงจรจะรีเซตค่าเมื่อนับถึง 6, 8 และ 15 ตามลำดับการรีเซตค่าส่วนใหญ่จะใช้ ขา CLR หรือ ซึ่งเป็นขาอะ ซิงโครนัสอินพุต (Asynchronous Input) ร่วมกับลอจิกเกตทำการ รีเซตเอาต์พุตของฟลิปฟลอปทุก ตัวกรณีที่จะเริ่มต้นการนับใหม่ที่ 0 ในกรณีที่การออกแบบวงจรนับค่าเริ่มต้นใหม่ไม่ได้เริ่มต้นที่ 0 ซึ่งจะใช้ขา CLR หรือ ไม่ได้ ก็จำเป็นจะต้องใช้ลอจิกเกตอย่างเดียว ซึ่งการออกแบบค่อนข้างจะยุ่งยาก